* 원료

> 규소 (= 실리콘)

- 주기율표 상으로 4족에 해당하며 자연계에는 산화물 규산염 등으로 존재하며, 암석이나 모래의 주요 구성성분이다


* Wafer (웨이퍼)

> 집적회로를 만드는 토대가 되는 얇은 규소판, 원반형 실리콘

> 순도 99.9999999% 단결정 규소를 얇게 잘라 표면을 매끈하게 다듬은 것

> 표면은 결함이나 오염이 없어야 함은 물론, 회로의 정밀도에 영향을 미치기 때문에 고도의 평탄도가 요구된다

> 지름의 크기에 따라 150mm(6인치), 200mm(8인치), 300mm(12인치) 웨이퍼 등으로 분류된다


* Stack 공법

> 단층집을 허물고 아파트를 지어 가구수를 늘리는 것처럼 반도체 칩의 표면 크기가 제한된 상황에서 칩의 평면에 셀을 복층으로 만들어 셀을 

늘리는 방식

> 반도체의 소자를 위로 쌓아 올려서 집적도를 높이는 방식. 불량 발생 시, 해결하는 데 유리함


* Trench 공법

> 지하로 파 내려가면서 집을 짓는 방식

> 반도체의 소자를 아래로 파고 내려가면서 집적도를 높이는 방식. 칩을 작게 만드는데 유리함


* SRAM

> D램과 달리 리프레시가 필요없으며, 데이터 처리 속도가 빠르다는 장점이 있는 반면, 데이터를 저장하는 방인 셀 크기가 커서 대용량으로 만들기 

어렵다는 단점이 있다. 그래서 그래픽카드에 주로 이용된다.

 

* DRAM

> 정보를 저장하는 방인 Cell 을 가지고 있다

> 시간이 지나가면 축적된 전하가 감소되기 때문에 전원이 차단되지 않더라도 저장되 자료가 자연히 소멸되는 단점이 있다. 

그래서 일정 시간마다 자료를 유지해주는 기능인 리프레시가 필요하며, 이를 위한 제어회로가 시스템측에 탑재되어야 한다


* Cell

> Transistor 1개 + Capacitor 1개

- Capacitor 는 0 or 1 을 저장한다

- 하지만, 기억시간이 64ms 이다. 따라서 정보를 잃기 전에 끊임없이 상기시켜주어야 한다. 1초에 16회 정도 정보 재충전 작업이 이루어진다.

 

* Flash Memory

> 전원이 꺼져도 데이터를 보존하는 특성을 가진 반도체

> 크게 NAND형 (데이터 저장), NOR 형(코드 저장) 제품으로 구분

> 원조는 EPPROM 인데, 이는 전원이 꺼져도 정보를 저장하지만 속도가 늦고 용량이 작다는 단점이 있다

> 1개의 셀을 구성하는 트랜지스터 회로가 2개 사용되는 것을 1개로 줄이며 Flash Memory 를 개발


> NAND Flash

- 셀을 수직으로 배열해 좁은 면적에 많은 셀을 만들 수 있도록 되어있다.

- 용량 늘리기가 쉽고 쓰기속도가 빠르다


> NOR Flash

- 수평으로 배열 되어있다

- 읽기 속도가 빠르다


* 수율

> 결함이 없는 합격품 비율, input 대비 output의 양

> 반도체 제조 공정 중에 투입된 원재료의 양에 대비하여 제조되어 나온 양의 비율

> 반도체 제품은 머리카락 두께의 수백 분의 1에 해당하는 미세한 회로로 구성되어 있기 때문에 결함이 발견되면 사실상 수리가 불가능하다. 

0.1 마이크로 미터 = 10의 -6 승

이 때문에 수율이 1%만 떨어져도 하루에 수억 원대 손해가 발생하며, 수율이 낮은 기업은 치열한 반도체 산업 경쟁에서 뒤떨어질 수 밖에 없다.

- 50%가 넘어야 신제품 프로젝트가 성공

- 60~70% 공정 안정화 단계

- 80%이상 golden yield


* Package

> 여러 개 반도체 칩을 꾸러미로 엮는 기술

> 면적을 크게 줄일 수 있다


* MCP (Multi Chip Package; 다중칩 패키지)

> 반도체 칩을 2개에서 많게는 4~8개까지 하나로 묶는 것

> 웨이퍼에서 잘라낸 반도체 칩을 적층해서 하나의 패키지로 만드는 기술

> 일반적으로 메모리 제품을 적층


* SIP(System In Package)

- MCP에서 한단계 진보된 기술. 핵심 반도체 칩을 하나로 묶어 패키지화 하는 기술

- 일반적으로 메모리와 시스템 LSI(비메모리)를 모두 사용해 하나의 패키지된 칩으로 다양한 기능을 할 수 있도록 함


* Smart Card

> 마이크로프로세서, 운영체제, 메모리 등 다양한 기능이 들어있는 손톱만 한 크기의 반도체 칩이 신용카드 모양의 플라스틱 카드에 삽입된 형태


* 클래스10 

> 1입방피트 (가로, 세로 높이가 각각 30cm) 에 먼지가 10개 있다는 의미


* 클래스1

> 1세제곱피트에 0.1마이크론 크기의 먼지가 1개인 청정도를 나타내는 용어


* 방진복

> 반도체 라인에서 작업자로부터 먼지가 발생하지 않도록 방지 해주는 특수복

> 일반 옷감과 달리 먼지가 발생하지 않도록 특수하게 가공된 천을 사용하며, 천에는 탄소로 된 줄무늬가 들어있다. 

탄소 줄무늬는 정전기를 방지하는 역할을 한다.


* Working Area

> 작업자가 작업 활동을 하는 공간


* Process Area

> 장비들이 놓여 있으며 제반공정이 진행되는 공간


* Air Shower Room

> FAB라인 출입시 방진복, 방진화 등에 부착된 먼지나 이물질을 제거하기 위한 장치로서 밀폐된 Box에 사람이 들어가면 양벽에서 강한 공기가 

불어나와 먼지를 제거하도록 되어있다.


* Photo 공정

> 웨이퍼 위에 전자회로를 그리는 단계 (광학기술을 이용)

> 감광액이 칠해진 웨이퍼가 필름기능을 함

> 반도체 제조 공정 중 가장 핵심으로 분류되는 공정으로 웨이퍼 위에 빛을 받으면 고체로 변화하는 물질 (감광액)을 바르고 회로사진을 찍는 공정


* Mask

> 미세한 전자회로가 그려진 유리판


* Stepper

> 노광 장비

> Mask를 Stepper에 넣고 빛을 투과해 감광액이 칠해진 웨이퍼 위에 미세한 전자회로 그림이 만들어지도록 한다


* 반도체 공정

1) 단결정 성장

- 실리콘의 우리말 이름은 규소다. 규소는 모래에 많이 들어 있는 물질인데 반도체 원료로 쓰기 위해서는 정제하는 과정이 필요하다.

먼저 실리콘을 뜨거운 열로 녹여 고순도의 실리콘 용융액으로 만들고 이것을 균일한 둥근 막대기 모양의 단결정으로 식힌다

2) 규소봉 절단

- 성장된 규소봉을 감자칩처럼 균일한 두께로 얇게 잘라낸다. 봉의 지름이 웨이퍼 크기를 나타내며, 반도체 정 기술이 발달하면서 점차 

웨이퍼가 커지고 있다

3) 웨이퍼 표면 연마

- 잘라낸 한 면을 거울처럼 반짝이게 갈아낸다. 이것이 바로 반도체 칩의 원료인 실리콘 웨이퍼로 갈아낸 면에 회로 패턴을 만들어 넣게 된다

4) 회로설계

- 캐드 시스템을 사용하여 전자회로를 설계한다

5) 마스크 제작

- 전자빔 설비를 이용해 설계된 회로 패턴을 유리판 위에 그려 넣어 마스크를 만든다

6) 산화공정

- 고온 (800~1200도) 에서 산소를 실리콘 웨이퍼 표면과 화학 반응시켜 얇고 균일한 실리콘 산화막(SiO2)을 형성 시킨다.

7) 감광액도포

- 먼저 웨이퍼에 감광물질을 고르게 발라 웨이퍼 표면을 마치 사진 필름과 같은 상태로 만든다.

8) 노광

- 웨이퍼 위에 마스크를 놓고 빛을 쪼여주면 회로 패턴을 통과한 빛이 웨이퍼에 회로 패턴을 그대로 옮긴다.

9) 현상

- 여기에 필름을 인화할 때와 같은 화학 처리를 해주면 웨이퍼에 사진 필름과 같이 회로 패턴이 그려진다.

10) 식각

- 회로 패턴을 형성하기 위해 불필요한 부분을 제거한다.

11) 이온 주입

- 회로 패턴과 연결된 부분에 미세한 가스 입자 형태의 불순물을 주입한다. 웨이퍼 내부에 침투한 불순물은 전자 소자의 특성을 가지도록 

만들어 준다.

12) 화학 기상 증착

- 가스 사이의 화학반응으로 형성된 입자들을 웨이퍼 표면에 증착하여 절연 막이나 전도성 막을 형성한다.

13) 금속 배선

- 웨이퍼 표면에 형성된 각 회로를 연결해주는 알루미늄 배선을 만들어 준다.

14) 웨이퍼 뒷면 연마

- 웨이퍼의 뒷면을 연마하여 얇게 만들어준다

15) 웨이퍼 절단

- 레이저나 공업용 다이아몬트 톱으로 웨이퍼를 직접회로 칩 단위로 자른다

16) 칩 자동 선별

- 웨이퍼에 형성된 집적회로 칩둘의 전기적 동작여부를 컴퓨터로 검사하여 불량품을 찾는다.

17) 금선연결.성형

- 집적회로 칩 내부의 외부 연결단자와 리드프레임을 매우 가는 금선으로 연결한 후 집적회로 칩, 리드 프레임, 연결 금선 등을 보호하기 위해 

화학수지로 밀봉한다. 우리가 흔히 볼 수 있는 반도체의 검은 몸통이 바로 이 화학 수지다.

18. 최종검사

- 성형된 칩의 전기적 특성 및 기능을 컴퓨터로 최종 검사하는 공정으로 최종 합격된 제품들은 제품명과 회사명을 표시한 후 입고검사를 거쳐 

최종 소비자에게 판매된다.


* EDO (Extended Data Out) DRAM

> 1990년대 초, 파이프라인을 이용하여 동작송도를 기존 제품 2배수준인 66MHz로 끌어올림

> 래치라는 회로를 추가하여 방금 전에 읽었던 데이터를 다음 데이터의 어드레스를 가져올때 까지 보존하도록 하여 데이터를 읽어내는 클럭 수를 

줄여 처리속도를 빠르게 개선한  D램의 일종


* SD (Synchronous) DRAM

> 1990년대 중반, 100~133MHz 클록 속도가 마이크로프로세서와 동기화되어 있는 D램의 다양한 종류를 모두 일컫는 일반 명칭


* DDR (Double Data Rate) SDRAM

> 1990년 말, 동기 신호 (D램을 동작시키는 신호) 클럭 신호 1회에 데이터를 2번 전송하도록 함으로써 전송속도를 높인 차세대 고속 D램


* RDRAM (Rambus DRAM)

> 데이터 입출력을 8비트로 구성된 버스라는 독특한 구조 사용, 동작속도를 10배 가량 빠른 1GHz로 끌어올렸다. D램은 P, M, F램 등으로 발전해나감.


* 반도체 설비

> 반도체산업 = 장치산업

- 그만큼 제조 과정이 제조 설비에 크게 의존한다는 의미


(1) 가격이 엄청난 고가이며 따라서 투자부담이 크다.

(2) 프로세스 조건에 크게 의존한다.

(3) 전기, 전자, 기계 등 여러 기술의 종합적 산물로서 점점 복잡화, 다양화되고 있다.

(4) 반도체 제조기술의 빠른 발전 속도에 따라 그만큼 진부화가 빠르고 유효수명이 짧다.

(5) 진공, 불순입자 등 트러블이 많아 세심한 주의가 필요하다.

(6) 반도체 제품의 수율이나 신뢰성에 크게 영향을 미친다.

(7) 따라서 최초 제작이나 구입시도 주용하지만, 사용 중에도 최적 상태로의 유지, 보수가 생산성 향상에 중요한 요인이 된다.


> 크게 설계설비, 공정 설비 그리고 조립/검사 설비로 나눌 수 있다.


* 그린 반도체

> 제조 과정에서 납(Pb), 카드뮴(Cd), 수은(Hg) 같은 중금속과 할로겐 등의 환경오염 물질이 사용되지 않은 환경 친화형 제품을 말한다.


* Lead Frame

> 반도체에서 외부와 데이터를 주고받는 역할을 함 (지네다리 모양)

> 반도체 칩을 올려 부착하는 금속 기판. 반도체 칩에 전기를 공급하고 이를 지지해 주는 역할을 한다.

> 현재는 리드 프레임의 부식을 방지하고 원활한 데이터 흐름을 위해 주서고가 납을 사용해 도금하고 있다. 납은 대표적인 환경 규제 물질이다.


* 종합반도체 업체

> 인텔, 삼성전자, 하이닉스 등, 제품 설계부터 완제품 생산까지 모든 분야를 자체적으로 운영하는 기업들

> 기술 유출을 방지할 수 있다.


* 파운드리 업체

> 반도체 제조 과정만을 전담하는 회사

> 반도체 개발에서 핵심이라 할 수 있는 제품 설계를 외부에서 넘겨받아 이에 따라 반도체를 생산하는 수탁가공 업체

- 대만의 TSMC, UMC 등 (1987 대만의 TSMC가 최초 - 선진 업체의 설계 기술력을 포기하면서 내놓은 대안)



* Memory 반도체

> 저장기능이 있음

> DRAM, SRAM, Flash 등으로 나눈다.


* System 반도체 

> Micro Components

- CPU, AP 등

> Logic

- 제품의 특정 부분을 제어하는 반도체

> Analog

- 음악과 같은 아날로그 정보를 다룬다

> 개별 소자

- 신호증폭이나 스위치 등 단순한 역할

> 광학 반도체 

- 빛을 전기신호로 바꿔주는 등 빛과 관계된 제품


* 표준형 반도체

> 규격이 정해져있어 일정 요건만 갖추면 어떤 전자제품에도 쓸 수 있는 제품 (DRAM이 대표적)


* 주문형반도체 (ASIC; Application Specific Integrated Circuit)

> 전자제품의 특수한 기능 하나하나에 맞춰 만들어진 반도체

> 비 메모리 반도체의 일종


* SoC (System On Chip)

- 여러가지 핵심 반도체 제품을 하나의 반도체로 통합한 칩

- 전체 시스템을 칩 하나에 담은 반도체. 즉, 연산소자, 메모리 소자 등 주요 반도체 소자가 하나의 칩에 구현되는 기술


* CIS (CMOS Image Sensor)

- 렌즈를 통해 들어오는 빛을 받아 디지털 전기신호로 바꿔주는 역할을 하는 시스템 LSI 반도체

- 카메라 화소는 CIS 반도체가 몇화소냐인지에 따라 결정

- 기존에 사용되던 CCD (Charge Coupled Device) 제품 대비 전력소모가 최소 1/10 수준에 불과


* Wafer 의 단위

> Carrier

- 웨이퍼를 담는 용기로 25장을 담을수 있는 홈이 있다

- 재질에 따라 청색, 백색, 흑색 금속 캐리어 등으로 나뉜다

> RUN

- 웨이퍼를 가공하기 위해서 25장을 하나의 단위로 묶은 것

> LOT

- 웨이퍼의 한 묶음

> Chip, Die

- 웨이퍼에서 잘라낸 각각의 반도체 조각


* 절단선

> 아무런 유니트나 회로가 없는 지역으로, 웨이퍼를 각각의 칩으로 나누기 위해 절단하는 영역


* TEG (Test Element Group)

> 공정 중에 품질을 테스트할 수 있도록 웨이퍼마다 만들어놓은 특이한 패턴의 칩


* Edge Die

> 웨이퍼 가장자리에 있는 미완성 칩


* Flat Zone

> 웨이퍼의 구조를 구별하기 위해 결정에 기본을 둔 플랫존. 이 영역을 기준으로 수직과 수평의 절단선을 형성한다.


* 불순물

> 인, 붕소 등의 물질

- 웨이퍼는 순도 100%의 실리콘, 게르마늄 등으로 만들어진다. 실리콘은 지구상에 많이 존재해서 얻기도 쉽지만, 더 중요한것은 실리콘의 

산화물인 산화실리콘이 화학적으로 안정적인 물질이기 때문이다. 순수한 웨이퍼는 4족 (최외각 전자가 4개)에 해당하는데 4족의 물질은 

전류를 통하지 않는 물질이다. 따라서, 3족이나 5족에 해당되는 붕소나 인 같은 불순물을 집어 넣게 된다

+ Recent posts